1932

Abstract

Energy-efficient sensing with physically secure communication for biosensors on, around, and within the human body is a major area of research for the development of low-cost health care devices, enabling continuous monitoring and/or secure perpetual operation. When used as a network of nodes, these devices form the Internet of Bodies, which poses challenges including stringent resource constraints, simultaneous sensing and communication, and security vulnerabilities. Another major challenge is to find an efficient on-body energy-harvesting method to support the sensing, communication, and security submodules. Due to limitations in the amount of energy harvested, we require a reduction in energy consumed per unit information, making the use of in-sensor analytics and processing imperative. In this article, we review the challenges and opportunities of low-power sensing, processing, and communication with possible powering modalities for future biosensor nodes. Specifically, we analyze, compare, and contrast () different sensing mechanisms such as voltage/current domain versus time domain, () low-power, secure communication modalities including wireless techniques and human body communication, and () different powering techniques for wearable devices and implants.

Loading

Article metrics loading...

/content/journals/10.1146/annurev-bioeng-110220-112448
2023-06-08
2024-04-20
Loading full text...

Full text loading...

/deliver/fulltext/bioeng/25/1/annurev-bioeng-110220-112448.html?itemId=/content/journals/10.1146/annurev-bioeng-110220-112448&mimeType=html&fmt=ahah

Literature Cited

  1. 1.
    Das D, Maity S, Chatterjee B, Sen S. 2019. Enabling covert body area network using electro-quasistatic human body communication. Sci. Rep. 9:4160
    [Google Scholar]
  2. 2.
    Chatterjee B, Cao N, Raychowdhury A, Sen S. 2019. Context-aware intelligence in resource-constrained IoT nodes: opportunities and challenges. IEEE Des. Test 36:27–40
    [Google Scholar]
  3. 3.
    Cao N, Chatterjee B, Gong M, Chang M, Sen S, Raychowdhury A. 2020. A 65 nm image processing SoC supporting multiple DNN models and real-time computation-communication trade-off via actor-critical neuro-controller. 2020 IEEE Symposium on VLSI Circuits1–2. Piscataway, NJ: IEEE
    [Google Scholar]
  4. 4.
    Chatterjee B, Seo DH, Chakraborty S, Avlani S, Jiang X et al. 2021. Context-aware collaborative intelligence with spatio-temporal in-sensor analytics for efficient communication in a large-area IoT testbed. IEEE Internet Things J 8:86800–14
    [Google Scholar]
  5. 5.
    US Cybersecur. Infrastruct. Secur. Agency 2019. Medtronic Conexus radio frequency telemetry protocol (update C) ICS Med. Advis. 19-080-01, April 8. https://us-cert.cisa.gov/ics/advisories/ICSMA-19-080-01
    [Google Scholar]
  6. 6.
    Waltz E. 2019. Can “Internet-of-Body” thwart cyber attacks on implanted medical devices?. IEEE Spectrum Blog March 28. https://spectrum.ieee.org/thwart-cyber-attacks-on-implanted-medical-devices
    [Google Scholar]
  7. 7.
    Sen S, Maity S, Das D. 2020. The body is the network: To safeguard sensitive data, turn flesh and tissue into a secure wireless channel. IEEE Spectr 57:1244–49
    [Google Scholar]
  8. 8.
    Maity S, Modak N, Yang D, Nath M, Avlani S et al. 2021. Sub-μWRComm: 415-nW 1–10-kb/s physically and mathematically secure electro-quasi-static HBC node for authentication and medical applications. IEEE J. Solid-State Circuits 56:3788–802
    [Google Scholar]
  9. 9.
    Chatterjee B, Gaurav K, Nath M, Xiao S, Modak N et al. 2021. A 1.15 μW 5.54 mm3 implant with a bidirectional neural sensor and stimulator SoC utilizing bi-phasic quasi-static brain communication achieving 6 kbps–10 Mbps uplink with compressive sensing and RO-PUF based collision avoidance. 2021 IEEE Symposium on VLSI Circuits1–2. Piscataway, NJ: IEEE
    [Google Scholar]
  10. 10.
    Chatterjee B, Nath M, Gaurav K, Xiao S, Krishna J, Sen S 2022. Bi-phasic quasistatic brain communication for fully untethered connected brain implants. arXiv:2205.08540 [q-bio.NC]
  11. 11.
    Lee S 2020. What is the Internet of Bodies? Multimed. Video, Rand Corp. Santa Monica, CA: https://www.rand.org/multimedia/video/2020/10/29/what-is-the-internet-of-bodies.html
  12. 12.
    Sen S. 2016. Context-aware energy-efficient communication for IoT sensor nodes. 2016 53nd ACM/EDAC/IEEE Design Automation Conference1–6. New York: ACM
    [Google Scholar]
  13. 13.
    Candes EJ, Tao T. 2005. Decoding by linear programming. IEEE Trans. Inf. Theory 51:124203–15
    [Google Scholar]
  14. 14.
    Donoho DL. 2006. Compressed sensing. IEEE Trans. Inf. Theory 52:41289–306
    [Google Scholar]
  15. 15.
    Mosenia A, Jha NK. 2017. A comprehensive study of security of Internet-of-Things. IEEE Trans. Emerg. Top. Comput. 5:4586–602
    [Google Scholar]
  16. 16.
    Das D, Ghosh S, Raychowdhury A, Sen S. 2021. EM/power side-channel attack: white-box modeling and signature attenuation countermeasures. IEEE Des. Test 38:367–75
    [Google Scholar]
  17. 17.
    Das D, Maity S, Nasir SB, Ghosh S, Raychowdhury A, Sen S. 2018. ASNI: attenuated signature noise injection for low-overhead power side-channel attack immunity. IEEE Trans. Circuits Syst. I 65:103300–11
    [Google Scholar]
  18. 18.
    Das D, Danial J, Golder A, Modak N, Maity S et al. 2021. EM and power SCA-resilient AES-256 through >350× current-domain signature attenuation and local lower metal routing. IEEE J. Solid-State Circuits 56:1136–50
    [Google Scholar]
  19. 19.
    Das D, Nath M, Chatterjee B, Ghosh S, Sen S. 2019. STELLAR: a generic EM side-channel attack protection through ground-up root-cause analysis. 2019 IEEE International Symposium on Hardware Oriented Security and Trust11–20. Piscataway, NJ: IEEE
    [Google Scholar]
  20. 20.
    Chatterjee B, Das D, Maity S, Sen S. 2019. RF-PUF: enhancing IoT security through authentication of wireless nodes using in-situ machine learning. IEEE Internet Things J 6:1388–98
    [Google Scholar]
  21. 21.
    Bari MF, Chatterjee B, Sivanesan K, Yang LL, Sen S. 2021. High accuracy RF-PUF for EM security through physical feature assistance using public Wi-Fi dataset. IEEE MTT-S International Microwave Symposium108–11. Piscataway, NJ: IEEE
    [Google Scholar]
  22. 22.
    Moon E, Barrow M, Lim J, Lee J, Nason SR et al. 2021. Bridging the “last millimeter” gap of brain–machine interfaces via near-infrared wireless power transfer and data communications. ACS Photon 8:51430–38
    [Google Scholar]
  23. 23.
    Lim J, Lee J, Moon E, Barrow M, Atzeni G et al. 2021. A light tolerant neural recording IC for near-infrared-powered free floating motes. 2021 IEEE Symposium on VLSI Circuits1–2. Piscataway, NJ: IEEE
    [Google Scholar]
  24. 24.
    Seo D, Carmena JM, Rabaey JM, Maharbiz MM, Alon E. 2015. Model validation of untethered, ultrasonic neural dust motes for cortical recording. J. Neurosci. Methods 244:114–22
    [Google Scholar]
  25. 25.
    Seo D, Neely RM, Shen K, Singhal U, Alon E et al. 2016. Wireless recording in the peripheral nervous system with ultrasonic neural dust. Neuron 91:3529–39
    [Google Scholar]
  26. 26.
    Ghanbari MM, Piech DK, Shen K, Alamouti SF, Yalcin C et al. 2019. A 0.8 mm3 ultrasonic implantable wireless neural recording system with linear AM backscattering. 2019 IEEE International Solid-State Circuits Conference284–86. Piscataway, NJ: IEEE
    [Google Scholar]
  27. 27.
    Ghanbari MM, Piech DK, Shen K, Alamouti SF, Yalcin C et al. 2019. A sub-mm3 ultrasonic free-floating implant for multi-mote neural recording. IEEE J. Solid-State Circuits 54:113017–30
    [Google Scholar]
  28. 28.
    Bos T, Dehaene W, Verhelst M. 2019. Ultrasound in-body communication with OFDM through multipath realistic channels. 2019 IEEE Biomedical Circuits and Systems Conference1–4. Piscataway, NJ: IEEE
    [Google Scholar]
  29. 29.
    Bos T, Jiang W, D'hooge J, Verhelst M, Dehaene W 2019. Enabling ultrasound in-body communication: FIR channel models and QAM experiments. IEEE Trans. Biomed. Circuits Syst. 13:1135–44
    [Google Scholar]
  30. 30.
    Ren W, Sun Y, Zhao D, Aili A, Zhang S et al. 2021. High-performance wearable thermoelectric generator with self-healing, recycling, and Lego-like reconfiguring capabilities. Sci. Adv. 7:7eabe0586
    [Google Scholar]
  31. 31.
    Thimot J, Shepard KL. 2017. Bioelectronic devices: wirelessly powered implants. Nat. Biomed. Eng. 1:51
    [Google Scholar]
  32. 32.
    Lee J, Leung V, Lee AH, Huang J, Asbeck P et al. 2021. Neural recording and stimulation using wireless networks of microimplants. Nat. Electron. 4:604–14
    [Google Scholar]
  33. 33.
    Vitale NR, Azin M, Mohseni P. 2018. A Bluetooth low energy (BLE)-enabled wireless link for bidirectional communications with a neural microsystem. 2018 IEEE Biomedical Circuits and Systems Conference1–4. Piscataway, NJ: IEEE
    [Google Scholar]
  34. 34.
    Yu Z, Chen JC, Avants BW, He Y, Singer A et al. 2020. An 8.2 mm3 implantable neurostimulator with magnetoelectric power and data transfer. 2020 IEEE International Solid-State Circuits Conference510–12. Piscataway, NJ: IEEE
    [Google Scholar]
  35. 35.
    Alrashdan FT, Chen JC, Singer A, Avants BW, Yang K, Robinson JT 2021. Wearable wireless power systems for ‘ME-BIT’ magnetoelectric-powered bio-nodes. J. Neural Eng. 18:045011
    [Google Scholar]
  36. 36.
    Singer A, Dutta S, Lewis E, Chen Z, Chen JC et al. 2020. Magnetoelectric materials for miniature, wireless neural stimulation at therapeutic frequencies. Neuron 107:4631–43
    [Google Scholar]
  37. 37.
    Marefat F, Erfani R, Kilgore KL, Mohseni P. 2020. A 280 μW 108dB DR readout IC with wireless capacitive powering using a dual-output regulating rectifier for implantable PPG recording. 2020 IEEE International Solid-State Circuits Conference412–14. Piscataway, NJ: IEEE
    [Google Scholar]
  38. 38.
    Erfani R, Marefat F, Nag S, Mohseni P. 2019. A 1–10-MHz frequency-aware CMOS active rectifier with dual-loop adaptive delay compensation and >230-mW output power for capacitively powered biomedical implants. IEEE J. Solid-State Circuits 55:3756–66
    [Google Scholar]
  39. 39.
    Koruprolu A, Nag S, Erfani R, Mohseni P. 2018. Capacitive wireless power and data transfer for implantable medical devices. 2018 IEEE Biomedical Circuits and Systems Conference1–4. Piscataway, NJ: IEEE
    [Google Scholar]
  40. 40.
    Li J, Dong Y, Park JH, Lin L, Tang T et al. 2020. Human-body-coupled power-delivery and ambient-energy-harvesting ICs for a full-body-area power sustainability. 2020 IEEE International Solid-State Circuits Conference514–16. Piscataway, NJ: IEEE
    [Google Scholar]
  41. 41.
    Li J, Dong Y, Park JH, Yoo J. 2021. Body-coupled power transmission and energy harvesting. Nat. Electron. 5:530–38
    [Google Scholar]
  42. 42.
    Modak N, Das D, Nath M, Chatterjee B, Gaurav K et al. 2021. A 65 nm resonant electro-quasistatic 5–240 μW human whole-body powering and 2.19 μW communication SoC with automatic maximum resonant power tracking. 2021 IEEE Custom Integrated Circuits Conference1–2. Piscataway, NJ: IEEE
    [Google Scholar]
  43. 43.
    Modak N, Das D, Nath M, Chatterjee B, Gaurav K et al. 2022. EQS Res-HBC: a 65-nm electro-quasistatic resonant 5–240 μW human whole-body powering and 2.19 μW communication SoC with automatic maximum resonant power tracking. IEEE J. Solid-State Circuits 57:3831–44
    [Google Scholar]
  44. 44.
    Cho H, Suh J-H, Kim C, Ha S, Je M. 2022. An intra-body power transfer system with >1-mW power delivered to the load and 3.3-V dc output at 160 cm of on-body distance. IEEE Trans. Biomed. Circuits Syst. 16:5852–66
    [Google Scholar]
  45. 45.
    Makowski NS, Campean A, Lambrecht JM, Buckett JR, Coburn JD et al. 2021. Design and testing of stimulation and myoelectric recording modules in an implanted distributed neuroprosthetic system. IEEE Trans. Biomed. Circuits Syst. 15:2281–93
    [Google Scholar]
  46. 46.
    CMS (US Cent. Medicare Medicaid Serv.) 2019. National health expenditure data Data Sets, CMS Washington, DC: https://www.cms.gov/Research-Statistics-Data-and-Systems/Statistics-Trends-and-Reports/NationalHealthExpendData/NationalHealthAccountsHistorical
  47. 47.
    Zhang M, Chan C, Zhu Y, Martins RP. 2019. A 0.6-V 13-bit 20-MS/s two-step TDC-assisted SAR ADC with PVT tracking and speed-enhanced techniques. IEEE J. Solid-State Circuits 54:123396–409
    [Google Scholar]
  48. 48.
    Chatterjee B, Srivastava A, Seo DH, Yang D, Sen S 2020. A context-aware reconfigurable transmitter with 2.24 pJ/bit, 802.15.6 NB-HBC and 4.93 pJ/bit, 400.9 MHz MedRadio modes with 33.6% transmit efficiency. 2020 IEEE Radio Frequency Integrated Circuits Symposium75–78. Piscataway, NJ: IEEE
    [Google Scholar]
  49. 49.
    Singer A, Robinson JT. 2021. Wireless power delivery techniques for miniature implantable bioelectronics. Adv. Healthc. Mater. 10:172100664
    [Google Scholar]
  50. 50.
    Yazicioglu RF, Merken P, Puers R, Van Hoof C. 2007. A 60 μW 60 nV readout front-end for portable biopotential acquisition systems. IEEE J. Solid-State Circuits 42:51100–10
    [Google Scholar]
  51. 51.
    Enz CC, Temes GC. 1996. Circuit techniques for reducing the effects of op-amp imperfections: autozeroing, correlated double sampling, and chopper stabilization. Proc. IEEE 84:111584–14
    [Google Scholar]
  52. 52.
    Denison T, Consoer K, Santa W, Avestruz AT, Cooley J, Kelly A. 2007. A 2 μW 100 nV chopper-stabilized instrumentation amplifier for chronic measurement of neural field potentials. IEEE J. Solid-State Circuits 42:122934–45
    [Google Scholar]
  53. 53.
    Chandrakumar H, Marković D. 2017. A high-dynamic-range neural recording chopper amplifier for simultaneous neural recording and stimulation. IEEE J. Solid-State Circuits 52:3645–56
    [Google Scholar]
  54. 54.
    Mehrotra P, Chatterjee B, Sen S. 2019. EM-wave biosensors: a review of RF, microwave, mm-wave and optical sensing. Sensors 19:51013
    [Google Scholar]
  55. 55.
    Murmann B. 2022. ADC performance survey 1997–2022 Data Set, GitHub. https://github.com/bmurmann/ADC-survey
  56. 56.
    Chatterjee B, Mousoulis C, Maity S, Kumar A, Scott S et al. 2019. A wearable real-time CMOS dosimeter with integrated zero-bias floating gate sensor and an 861-nW 18-bit energy-resolution scalable time-based radiation to digital converter. 2019 IEEE Custom Integrated Circuits Conference1–4. Piscataway, NJ: IEEE
    [Google Scholar]
  57. 57.
    Chatterjee B, Mousoulis C, Seo DH, Kumar A, Maity S et al. 2020. A wearable real-time CMOS dosimeter with integrated zero-bias floating gate sensor and an 861-nW 18-bit energy-resolution scalable time-based radiation to digital converter. IEEE J. Solid-State Circuits 55:3650–65
    [Google Scholar]
  58. 58.
    Lustig M, Donoho D, Pauly JM. 2007. Sparse MRI: the application of compressed sensing for rapid MR imaging. Magn. Reson. Med. 58:61182–95
    [Google Scholar]
  59. 59.
    Anvesha A, Xu S, Romberg J, Raychowdhury A. 2017. A 130 nm 165 nJ/frame compressed-domain smashed-filter based mixed-signal classifier for “in-sensor” analytics in smart cameras. Trans. Circuits Syst. II 65:3296–300
    [Google Scholar]
  60. 60.
    Zhang J, Wang Z, Verma N. 2015. A matrix-multiplying ADC implementing a machine-learning classifier directly with data conversion. 2015 IEEE International Solid-State Circuits Conference1–3. Piscataway, NJ: IEEE
    [Google Scholar]
  61. 61.
    Anvesha A, Xu S, Romberg J, Raychowdhury A. 2017. A 65 nm compressive-sensing time-based ADC with embedded classification and INL-aware training for arrhythmia detection. 2017 IEEE Biomedical Circuits and Systems Conference1–4. Piscataway, NJ: IEEE
    [Google Scholar]
  62. 62.
    Gaurav K, Chatterjee B, Sen S. 2021. A 16 pJ/bit 0.1–15 Mbps compressive sensing IC with on-chip DWT sparsifier for audio signals. 2021 IEEE Custom Integrated Circuits Conference1–2. Piscataway, NJ: IEEE
    [Google Scholar]
  63. 63.
    Gaurav K, Chatterjee B, Sen S. 2021. CS-audio: a 16 pJ/b 0.1–15 Mbps compressive sensing IC with DWT sparsifier for audio-AR. IEEE J. Solid-State Circuits 57:72220–35
    [Google Scholar]
  64. 64.
    Qaisar S, Bilal RM, Iqbal W, Naureen M, Lee S 2013. Compressive sensing: from theory to applications. A survey. J. Commun. Netw. 15:5443–56
    [Google Scholar]
  65. 65.
    Hamza D, Abbes A, Faycal B. 2018. Compressive sensing–based IoT applications: a review. J. Sens. Actuator Netw. 7:445
    [Google Scholar]
  66. 66.
    Roose JD, Xin H, Andraud M, Harpe PJA, Verhelst M. 2018. Flexible and self-adaptive sense-and-compress for sub-microwatt always-on sensory recording. 2018 IEEE 44th European Solid State Circuits Conference282–85. Piscataway, NJ: IEEE
    [Google Scholar]
  67. 67.
    Trakimas M, Sonkusale SR. 2011. An adaptive resolution asynchronous ADC architecture for data compression in energy constrained sensing applications. IEEE Trans. Circuits Syst. I 58:5921–34
    [Google Scholar]
  68. 68.
    Ieong C, Li M, Law M, Mak P, Vai MI, Martins RP. 2017. A 0.45 V 147–375 nW ECG compression processor with wavelet shrinkage and adaptive temporal decimation architectures. IEEE Trans. VLSI Syst. 25:41307–19
    [Google Scholar]
  69. 69.
    Naderiparizi S, Hessar M, Talla V, Gollakota S, Smith JR. 2018. Towards battery-free HD video streaming. 2018 15th USENIX Symposium on Networked Systems Design and Implementation233–47. Piscataway, NJ: IEEE
    [Google Scholar]
  70. 70.
    Chatterjee B, Gaurav K, Xiao S, Barik G, Krishna J, Sen S 2022. A 1.8 μW 5.5 mm3 ADC-less neural implant SoC utilizing 13.2 pJ/sample time-domain bi-phasic quasi-static brain communication with direct analog to time conversion. 2022 European Conference on Solid-State Circuits209–12. Piscataway, NJ: IEEE
    [Google Scholar]
  71. 71.
    Li W, Bao J, Shen W 2011. Collaborative wireless sensor networks: a survey. 2011 IEEE International Conference on Systems, Man, and Cybernetics2614–19. Piscataway, NJ: IEEE
    [Google Scholar]
  72. 72.
    Buehrer RM, Wymeersch H, Vaghefi RM. 2018. Collaborative sensor network localization: algorithms and practical issues. Proc. IEEE 106:61089–114
    [Google Scholar]
  73. 73.
    Chang G, Maity S, Chatterjee B, Sen S. 2018. A MedRadio receiver front-end with wide energy-quality scalability through circuit and architecture-level reconfigurations. IEEE J. Emerg. Sel. Top. Circuits Syst. 8:3369–78
    [Google Scholar]
  74. 74.
    Cao N, Chatterjee B, Liu, Cheng B, Gong M et al. 2022. A 65 nm wireless image SoC supporting on-chip DNN optimization and real-time computation-communication trade-off via actor-critical neuro-controller. IEEE J. Solid-State Circuits 57:82545–59
    [Google Scholar]
  75. 75.
    Maity S, Chatterjee B, Chang G, Sen S 2019. BodyWire: a 6.3-pJ/b 30-Mb/s 30-dB SIR-tolerant broadband interference-robust human body communication transceiver using time domain interference rejection. IEEE J. Solid-State Circuits 54:102892–906
    [Google Scholar]
  76. 76.
    Mondal S, Hall DA. 2020. A 67-μW ultra-low power PVT-robust MedRadio transmitter. 2020 IEEE Radio Frequency Integrated Circuits Symposium327–30. Piscataway, NJ: IEEE
    [Google Scholar]
  77. 77.
    Lucev Ž, Krois I, Cifrek M. 2012. A capacitive intrabody communication channel from 100 kHz to 100 MHz. IEEE Trans. Instrum. Meas. 61:123280–89
    [Google Scholar]
  78. 78.
    Bae J, Cho H, Song K, Lee H, Yoo HJ. 2012. The signal transmission mechanism on the surface of human body for body channel communication. IEEE Trans. Microw. Theory Tech. 60:3582–93
    [Google Scholar]
  79. 79.
    Park J, Garudadri H, Mercier PP. 2017. Channel modeling of miniaturized battery-powered capacitive human body communication systems. IEEE Trans. Biomed. Eng. 64:2452–62
    [Google Scholar]
  80. 80.
    Maity S, He M, Nath M, Das D, Chatterjee B, Sen S. 2019. Bio-physical modeling, characterization, and optimization of electro-quasistatic human body communication. IEEE Trans. Biomed. Eng. 66:61791–802
    [Google Scholar]
  81. 81.
    Nath M, Maity S, Sen S. 2020. Toward understanding the return path capacitance in capacitive human body communication. IEEE Trans. Circuits Syst. II 67:101879–83
    [Google Scholar]
  82. 82.
    Maity S, Das D, Chatterjee B, Sen S. 2018. Characterization and classification of human body channel as a function of excitation and termination modalities. 2018 Annual International Conference of the IEEE Engineering in Medicine and Biology Society3754–57. Piscataway, NJ: IEEE
    [Google Scholar]
  83. 83.
    Chatterjee B, Datta A, Nath M, Gaurav K, Modak N, Sen S. 2022. A 65 nm 63.3 μW 15 Mbps transceiver with switched-capacitor adiabatic signaling and combinatorial-pulse-position modulation for body-worn video-sensing AR nodes. 2022 IEEE International Solid-State Circuits Conference276–78. Piscataway, NJ: IEEE
    [Google Scholar]
  84. 84.
    Modak N, Nath M, Chatterjee B, Maity S, Sen S. 2022. Bio-physical modeling of galvanic human body communication in electro-quasistatic regime. IEEE Trans. Biomed. Eng. 69:123717–27
    [Google Scholar]
  85. 85.
    Park J, Mercier PP. 2015. Magnetic human body communication. 2015 Annual International Conference of the IEEE Engineering in Medicine and Biology Society1841–44. Piscataway, NJ: IEEE
    [Google Scholar]
  86. 86.
    Park J, Mercier PP. 2019. A sub-40 μW 5 Mb/s magnetic human body communication transceiver demonstrating trans-body delivery of high-fidelity audio to a wearable in-ear headphone. 2019 IEEE International Solid-State Circuits Conference1841–44. Piscataway, NJ: IEEE
    [Google Scholar]
  87. 87.
    Nath M, Ulvog AK, Weigand S, Sen S. 2021. Understanding the role of magnetic and magneto-quasistatic fields in human body communication. arXiv:2011.00125 [eess.SP]
  88. 88.
    Tochou G, Benarrouch R, Gaidioz D, Cathelin A, Frappé A et al. 2022. A sub-100-μW 0.1-to-27-Mb/s pulse-based digital transmitter for the human intranet in 28-nm FD-SOI CMOS. IEEE J. Solid-State Circuits 57:51409–20
    [Google Scholar]
  89. 89.
    Lee M-C, Karimi-Bidhendi A, Malekzadeh-Arasteh O, Wang PT, Do AH et al. 2019. A CMOS MedRadio transceiver with supply-modulated power saving technique for an implantable brain–machine interface system. IEEE J. Solid-State Circuits 54:61541–52
    [Google Scholar]
  90. 90.
    Jaussi JE, Balamurugan G, Hyvonen S, Hsueh TC, Musah T et al. 2014. A 205 mW 32 Gb/s 3-tap FFE/6-tap DFE bidirectional serial link in 22 nm CMOS. 2014 IEEE International Solid-State Circuits Conference440–41. Piscataway, NJ: IEEE
    [Google Scholar]
  91. 91.
    Hsueh TC, Balamurugan G, Jaussi JE, Hyvonen S, Kennedy J et al. 2014. A 25.6 Gb/s differential and DDR4/GDDR5 dual-mode transmitter with digital clock calibration in 22 nm CMOS. 2014 IEEE International Solid-State Circuits Conference444–45. Piscataway, NJ: IEEE
    [Google Scholar]
  92. 92.
    Cao N, Nasir SB, Sen S, Raychowdhury A. 2017. In-sensor analytics and energy-aware self-optimization in a wireless sensor node. IEEE MTT-S International Microwave Symposium200–3. Piscataway, NJ: IEEE
    [Google Scholar]
  93. 93.
    Chatterjee B, Panda P, Maity S, Biswas A, Roy K, Sen S. 2019. Exploiting inherent error resiliency of deep neural networks to achieve extreme energy efficiency through mixed-signal neurons. IEEE Trans. VLSI Syst. 27:61365–77
    [Google Scholar]
  94. 94.
    Chatterjee B, Sen S. 2021. Energy-efficient deep neural networks with mixed-signal neurons and dense-local and sparse-global connectivity. 2021 26th Asia and South Pacific Design Automation Conference297–304. Piscataway, NJ: IEEE
    [Google Scholar]
  95. 95.
    Ebrazeh A, Mohseni P. 2015. 30 pJ/b, 67 Mbps, centimeter-to-meter range data telemetry with an IR-UWB wireless link. IEEE Trans. Biomed. Circuits Syst. 9:3362–69
    [Google Scholar]
  96. 96.
    Sarpeshkar R. 1998. Analog versus digital: extrapolating from electronics to neurobiology. Neural Comput 10:71601–38
    [Google Scholar]
  97. 97.
    Sodagar AM, Perlin GE, Yao Y, Najafi K, Wise KD. 2009. An implantable 64-channel wireless microsystem for single-unit neural recording. IEEE J. Solid-State Circuits 44:92591–604
    [Google Scholar]
  98. 98.
    Hosseini-Nejad H, Jannesari A, Sodagar AM. 2014. Data compression in brain–machine/computer interfaces based on the Walsh–Hadamard transform. IEEE Trans. Biomed. Circuits Syst. 8:1129–37
    [Google Scholar]
  99. 99.
    Lim J, Moon E, Barrow M, Nason SR, Patel PR et al. 2020. A 0.19×0.17 mm2 wireless neural recording IC for motor prediction with near-infrared-based power and data telemetry. 2020 IEEE International Solid-State Circuits Conference416–18. Piscataway, NJ: IEEE
    [Google Scholar]
  100. 100.
    Schwartz AB. 2004. Cortical neural prostheses. Annu. Rev. Neurosci. 27:487–507
    [Google Scholar]
  101. 101.
    Taylor DM, Tillery IH, Schwartz AB. 2003. Information conveyed through brain control: cursor versus robot. IEEE Trans. Neural Syst. Rehabil. Eng. 11:195–99
    [Google Scholar]
  102. 102.
    Olsson RH, Wise KD. 2005. A three-dimensional neural recording microsystem with implantable data compression circuitry. IEEE J. Solid-State Circuits 40:122796–804
    [Google Scholar]
  103. 103.
    Harrison R, Watkins PT, Kier RJ, Lovejoy RO, Black DJ et al. 2007. A low-power integrated circuit for a wireless 100-electrode neural recording system. IEEE J. Solid-State Circuits 42:1123–33
    [Google Scholar]
  104. 104.
    Craciun S, Cheney D, Gugel K, Sanchez JC, Principe JC. 2011. Wireless transmission of neural signals using entropy and mutual information compression. IEEE Trans. Neural Syst. Rehabil. Eng. 19:135–44
    [Google Scholar]
  105. 105.
    Shoaib M, Jha NK, Verma N. 2012. Enabling advanced inference on sensor nodes through the direct use of compressively-sensed signals. 2012 IEEE Design, Automation & TEST in Europe Conference437–43. Piscataway, NJ: IEEE
    [Google Scholar]
  106. 106.
    Dixon AMR, Allstot EG, Gangopadhyay D, Allstot DJ. 2012. Compressed sensing system considerations for ECG and EMG wireless biosensors. IEEE Trans. Biomed. Circuits Syst. 6:2156–66
    [Google Scholar]
  107. 107.
    Zamani H, Bahrami HR, Chalwadi P, Garris PA, Mohseni P. 2018. C-FSCV: compressive fast-scan cyclic voltammetry for brain dopamine recording. IEEE Trans. Neural Syst. Rehabil. Eng. 26:151–59
    [Google Scholar]
  108. 108.
    Kamboh AM, Raetz M, Oweiss KG, Mason A. 2007. Area-power efficient VLSI implementation of multichannel DWT for data compression in implantable neuroprosthetics. IEEE Trans. Biomed. Circuits Syst. 1:2128–35
    [Google Scholar]
  109. 109.
    Yang Y, Kamboh AM, Mason AJ. 2010. Adaptive threshold spike detection using stationary wavelet transform for neural recording implants. 2010 IEEE Biomedical Circuits and Systems Conference9–12. Piscataway, NJ: IEEE
    [Google Scholar]
  110. 110.
    Irwin ZT, Thompson DE, Schroeder KE, Tat DM, Hassani A et al. 2016. Enabling low-power, multi-modal neural interfaces through a common, low-bandwidth feature space. IEEE Trans. Neural Syst. Rehabil. Eng. 24:5521–31
    [Google Scholar]
  111. 111.
    Wang W, Zhu L, Marefat F, Mohseni P, Kilgore K, Najafizadeh L. 2020. Photoplethysmography-based blood pressure estimation using deep learning. 2020 Asilomar Conference on Signals, Systems, and Computers945–49. Piscataway, NJ: IEEE
    [Google Scholar]
  112. 112.
    Wang W, Mohseni P, Kilgore K, Najafizadeh L. 2021. Cuff-less blood pressure estimation via small convolutional neural networks. 2021 43rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society1031–34. Piscataway, NJ: IEEE
    [Google Scholar]
  113. 113.
    Thomson I. 2017. AES-256 keys sniffed in seconds using $200 kit a few inches away. The Register June 23. https://www.theregister.co.uk/2017/06/23/aes_256_cracked_50_seconds_200_kit
    [Google Scholar]
  114. 114.
    Das D, Danial J, Golder A, Modak N, Maity S et al. 2020. 27.3 EM and power SCA-resilient AES-256 in 65 nm CMOS through >350× current-domain signature attenuation. 2020 IEEE International Solid-State Circuits Conference424–26. Piscataway, NJ: IEEE
    [Google Scholar]
  115. 115.
    Ghosh A, Das D, Danial J, De V, Ghosh S, Sen S. 2021. An EM/power SCA-resilient AES-256 with synthesizable signature attenuation using digital-friendly current source and RO-bleed-based integrated local feedback and global switched-mode control. 2021 IEEE International Solid-State Circuits Conference499–501. Piscataway, NJ: IEEE
    [Google Scholar]
/content/journals/10.1146/annurev-bioeng-110220-112448
Loading
/content/journals/10.1146/annurev-bioeng-110220-112448
Loading

Data & Media loading...

  • Article Type: Review Article
This is a required field
Please enter a valid email address
Approval was a Success
Invalid data
An Error Occurred
Approval was partially successful, following selected items could not be processed due to error